Publication

Export 24 results:
Filters: First Letter Of Last Name is Y  [Clear All Filters]
Patent
K. - L. Wang, Yang, C. - K. K. , Markovic, D. , and Ren, F. , Body Voltage Sensing Based Short Pulse Reading Circuit, PCT/US2012/056136, 2014.
Journal Article
R. Dorrance, Ren, F. , Toriyama, Y. , Hafez, A. Amin, Yang, C. - K. K. , and Marković, D. , Scalability and Design-space Analysis of A 1T-1MTJ Memory Cell For STT-RAMs, IEEE Transactions on Electron Devices, vol. 59, no. 4, pp. 878–887, 2012. (1.1 MB)
F. Ren, Park, H. , Yang, C. - K. K. , and Marković, D. , Reference Calibration of Body-voltage Sensing Circuit for High-speed STT-RAMs, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 60, no. 11, pp. 2932–2939, 2013. (1.78 MB)
C. Hegde, Sankaranarayanan, A. C. , Yin, W. , and Baraniuk, R. G. , NuMax: A convex approach for learning near-isometric linear embeddings, IEEE Transactions on Signal Processing, vol. 63, pp. 6109–6121, 2015.
Y. I. Li, Liu, Z. , Xu, K. , Yu, H. , and Ren, F. , A GPU-Outperforming FPGA Accelerator Architecture for Binary Convolutional Neural Networks, ACM Journal on Emerging Technologies in Computing (JETC) - Special Issue on Frontiers of Hardware and Algorithms for On-chip Learning​, vol. 14, no. 2, p. 18.16, 2018. (1.92 MB)
Y. Feng, Yang, F. , Zhou, X. , Guo, Y. , Tang, F. , Ren, F. , Guo, J. , and Ji, S. , A Deep Learning Approach for Targeted Contrast-Enhanced Ultrasound Based Prostate Cancer Detection, IEEE/ACM Transactions on Computational Biology and Bioinformatics, vol. 16, no. 6, pp. 1794-1801, 2019. (1.73 MB)
Y. Wang, Li, X. , Xu, K. , Ren, F. , and Yu, H. , Data-Driven Sampling Matrix Boolean Optimization for Energy-Efficient Biomedical Signal Acquisition by Compressive Sensing, IEEE Transactions on Biomedical Circuits and Systems, vol. 11, no. 2, pp. 255-266, 2017. (2.53 MB)
Y. I. Li, Liu, Z. , Liu, W. , Jiang, Y. , Wang, Y. , Goh, W. Ling, Yu, H. , and Ren, F. , A 34-FPS 698-GOP/s/W Binarized Deep Neural Network-based Natural Scene Text Interpretation Accelerator for Mobile Edge Computing, IEEE Transactions on Industrial Electronics (TIE), vol. 66, no. 9, pp. 7407-7416, 2019. (3.34 MB)
Conference Proceedings
M. Chen, Hu, Q. , Yu, Z. , Thomas, H. , Feng, A. , Hou, Y. , McCullough, K. , Ren, F. , and Soibelman, L. , STPLS3D: A Large-Scale Synthetic and Real Aerial Photogrammetry 3D Point Cloud Dataset, The British Machine Vision Conference (BMVC). London, UK, 2022. (11.33 MB)
Z. Liu, Li, Y. I. , Ren, F. , Yu, H. , and Goh, W. , SqueezedText: A Real-time Scene Text Recognition by Binary Convolutional Encoder-decoder Network, The AAAI Conference on Artificial Intelligence (AAAI). New Orleans, Louisana, pp. 7194-7201, 2018. (1.49 MB)
J. Ouyang, Lin, S. , Qi, W. , Wang, Y. , Yu, B. , and Jiang, S. , SDA: Software-defined accelerator for large-scale DNN systems, Hot Chips 26 Symposium (HCS), 2014 IEEE. IEEE, pp. 1–23, 2014.
R. Dorrance, Ren, F. , Toriyama, Y. , Amin, A. , Yang, C. - K. K. , and Marković, D. , Scalability And Design-space Analysis of A 1T-1MTJ Memory Cell, Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). IEEE, pp. 32-36, 2011. (1.1 MB)
X. Zhang, Huang, M. - C. , Ren, F. , Xu, W. , Guan, N. , and Yi, W. , Proper Running Posture Guide: A Wearable Biomechanics Capture System, Proceedings of the 8th International Conference on Body Area Networks (BodyNets). ICST (Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering), 2013. (1.54 MB)
Y. Wang, Li, X. , Yu, H. , Ni, L. , Yang, W. , Weng, C. , and Zhao, J. , Optimizing boolean embedding matrix for compressive sensing in rram crossbar, Low Power Electronics and Design (ISLPED), 2015 IEEE/ACM International Symposium on. IEEE, pp. 13–18, 2015.
Y. Wang, Li, X. , Yu, H. , Ni, L. , Yang, W. , Weng, C. , and Zhao, J. , Optimizing boolean embedding matrix for compressive sensing in rram crossbar, Low Power Electronics and Design (ISLPED), 2015 IEEE/ACM International Symposium on. IEEE, pp. 13–18, 2015.
T. S. Czajkowski, Aydonat, U. , Denisenko, D. , Freeman, J. , Kinsner, M. , Neto, D. , Wong, J. , Yiannacouras, P. , and Singh, D. P. , From OpenCL to high-performance hardware on FPGAs, Field Programmable Logic and Applications (FPL), 2012 22nd International Conference on. IEEE, pp. 531–534, 2012.
Z. Zhang, Yu, Z. , You, S. , Rao, R. , Agarwal, S. , and Ren, F. , Enhanced Low-resolution LiDAR-Camera Calibration Via Depth Interpolation and Supervised Contrastive Learning, The 48th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP'23). Rhodes Island, Greece, 2023. (2.95 MB)
Z. Zhang, Yu, Z. , You, S. , Rao, R. , Agarwal, S. , and Ren, F. , Enhanced Low-resolution LiDAR-Camera Calibration Via Depth Interpolation and Supervised Contrastive Learning, The 48th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP'23). Rhodes Island, Greece, 2023. (2.95 MB)
Z. Yu, Trindade, B. Machado, Green, M. , Zhang, Z. , Sneha, P. , Bank-Tavakoli, E. , Pawlowicz, C. , and Ren, F. , A Data-Driven Approach for Automated Integrated Circuit Segmentation of Scan Electron Microscopy Images, The 29th IEEE International Conference on Image Processing (ICIP). Bordeaux, France, 2022. (1.03 MB)
H. Huang, Yu, H. , Zhuo, C. , and Ren, F. , A Compressive-sensing based Testing Vehicle for 3D TSV Pre-bond and Post-bond Testing Data, International Symposium on Physical Design (ISPD). pp. 19-25, 2016. (1.21 MB)
F. Ren, Park, H. , Dorrance, R. , Toriyama, Y. , Yang, C. - K. K. , and Marković, D. , A Body-voltage-sensing-based Short Pulse Reading Circuit for Spin-torque Transfer RAMs (STT-RAMs), Proceedings of the 2012 13th International Symposium on Quality Electronic Design (ISQED). IEEE, pp. 275-282, 2012. (559.47 KB)
Z. Liu, Li, Y. , Ren, F. , and Yu, H. , A Binary Convolutional Encoder-decoder Network for Real-time Natural Scene Text Processing, The 1st International Workshop on Efficient Methods for Deep Neural Networks - Conference on Neural Information Processing Systems (NIPS). 2016. (773.3 KB)
Z. Zhang, Trindade, B. Machado, Green, M. , Yu, Z. , Pawlowicz, C. , and Ren, F. , Automatic Error Detection in Integrated Circuits Image Segmentation: A Data-driven Approach, The 48th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP'23). Rhodes Island, Greece, 2023. (501.02 KB)
H. Park, Dorrance, R. , Amin, A. , Ren, F. , Marković, D. , and Yang, C. K. Ken, Analysis of STT-RAM Cell Design With Multiple MTJs Per Access, Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). IEEE Computer Society, pp. 53-58, 2011. (320.88 KB)